Welcome![Sign In][Sign Up]
Location:
Search - taxi meter

Search list

[VHDL-FPGA-VerilogSystemOfTaxiFeeBasedOnVerilogHDL

Description: 摘 要:以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。 关键词:Verilog HDL;电子自动化设计;硬件描述语言;MAX+PLUSⅡ-Abstract: Shanghai taxi meter as an example, the use of Verilog HDL language designed taxi meter so that it will have the time display, billing, as well as analog taxis to start, stop, reset and other functions, and set up a dynamic scanning circuit shows that the fare and the corresponding time, shows the hardware description language Verilog-HDL design of the superiority of digital logic circuits. Source by MAX+ PLUS Ⅱ software debugging, optimization, downloaded to EPF1OK10TC144-3 chip, can be applied to the actual taxi fare collection system. Keywords: Verilog HDL electronic design automation hardware description language MAX+ PLUS Ⅱ
Platform: | Size: 211968 | Author: 杨轶帆 | Hits:

[VHDL-FPGA-Verilogtaxi-vhdl

Description: 出租车计费器 硬件描述语言 出租车计费器 MAX+PLUS软件 数字系统-Taxi billing hardware description language taxi meter MAX+ PLUS software digital systems
Platform: | Size: 48128 | Author: aneeee | Hits:

[VHDL-FPGA-Verilogvhdl

Description: :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Verilog HDL language taxi meter is designed so that it will have the time display, billing and simulated taxi start, stop, reset and other functions, and set up the dynamic scanning circuit shows that the fare and the corresponding time, shows the hardware description language Verilog-HDL design of the advantages of digital logic circuits. Source by the MAX+ PLUS Ⅱ software debugging, optimization, download EPF1OK10TC144-3 chip, can be applied to the actual taxi fare collection system.
Platform: | Size: 211968 | Author: mindy | Hits:

[transportation applicationsTaxi-meter

Description: 出租车计费器,在maxplus2环境中分5个模块设计出租车计费器-Taxi meter
Platform: | Size: 22528 | Author: yen | Hits:

[Other Embeded programtaxi

Description: 出租车自动计价器设计 要求: 计一个出租车计价器。 该计价器的计费系统:行程 3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟以1.5元计费。 并能显示行驶公里数、等待累计时间、总费用。 -Taxi automatic meter design requirements: 1 meter taxi meter. The meter' s billing system: stroke within 3 km, and the total waiting time of 2 minutes, the starting fee is 10 yuan 3 kilometers away, charging 1.6 yuan per kilometer, waiting outside the aggregate time of 2 minutes to 1.5 yuan per minute billing. And can show the number of road miles, waiting for the accumulated time, the total cost.
Platform: | Size: 54272 | Author: dws | Hits:

[assembly languagetaxi

Description: 微机原理课程实验,为出租车计价器汇编语言编写,另附实验报告。-Microcomputer Principles course experiment for the taxi meter written in assembly language, attached lab report.
Platform: | Size: 47104 | Author: sky | Hits:

[assembly languageta36

Description: This is the code For Digital Taxi Meter Using PIC 16F877.
Platform: | Size: 5120 | Author: Suyog | Hits:

[VHDL-FPGA-VerilogVerilog

Description: Verilog编写的出租车计价器程序,可以设置按路程计价,按等待时间计价。非常方便,界面良好-Verilog program, prepared a taxi meter can be set according to distance pricing, valuation by waiting time. Very convenient, good interface
Platform: | Size: 2170880 | Author: 牟星光 | Hits:

[SCMtaxi-meter-

Description: 课程实验--出租车计价器,具有液晶显示,掉电保护,射频刷卡功能-Curriculum Experiment- taxi meter with LCD display, power protection, RF card function
Platform: | Size: 7168 | Author: qingtingchen | Hits:

[VHDL-FPGA-Verilogtaxi-meter-vhdl-program

Description: 基于vhdl的计程车计费器程序,只能当作参考的程序。-Based on the taxi meter vhdl program, the program only as a reference.
Platform: | Size: 2048 | Author: 猪大 | Hits:

[VHDL-FPGA-Verilogtaxi-meter-VHDL-design

Description: 这是基于VHDL的出租车计价器设计,可以当作来参考。-This is based on the taxi meter VHDL design can be used as to reference.
Platform: | Size: 121856 | Author: 猪大 | Hits:

[VHDL-FPGA-VerilogVHDL-based-taxi-meter

Description: 本源码介绍了一种出租车计价器的设计方案,并且是基于VHDL语言,可以轻松在FPGA/CPLD上实现-This source presents a taxi meter design, and is based on the VHDL language, you can easily in the FPGA/CPLD to realize
Platform: | Size: 4096 | Author: helong | Hits:

[SCMtaxi-meter-microcontroller

Description: 本程序主要是基于51单片机的出租车计价器的系统软件设计源程序。-This procedure is based mainly on the taxi meter microcontroller 51, system software design source.
Platform: | Size: 2048 | Author: zoupeng | Hits:

[Embeded-SCM Develop51-MCU-based-taxi-meter

Description: 基于51单片机原理出租车计价器的设计 本科毕业设计-51 MCU-based design principles of the taxi meter
Platform: | Size: 253952 | Author: 王鑫 | Hits:

[SCMtaxi-meter

Description: 用单片机,模拟出租车的计价器,可实现基本的计费功能-With a microcontroller, analog taxi meter, the basic billing functions can be realized
Platform: | Size: 45056 | Author: Qingchan | Hits:

[Embeded-SCM DevelopTaxi-Meter-Using-89C51

Description: Taxi Meter Using 89C51 with Proteus simulation file, 16 X 2 Line LCD, Topview simulation Screen shot and all the file included.
Platform: | Size: 761856 | Author: manoj | Hits:

[VHDL-FPGA-Verilogthe-taxi-meter

Description: 利用MAX plus10.2对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。-The MAX plus10.2 the design of the taxi meter VHDL code simulation, and FPGA digital experimental system To implement the control. This is the decoding module
Platform: | Size: 507904 | Author: 陈小姐 | Hits:

[VHDL-FPGA-VerilogTaxi-meter

Description: 1、了解出租车计费器的工作原理。 2、学会用VHDL语言编写正确的七段码管显示程序。 3、数量掌握用VHDL编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 -1, to understand taxi meter works. 2, learn the proper use of VHDL language program seven-segment LED display. 3, the number of master with VHDL complex functional blocks. 4, the number of states to further accumulate in the system design applications.
Platform: | Size: 1432576 | Author: | Hits:

[VHDL-FPGA-VerilogTaxi-meter

Description: 出租车计费器。VHDL。quartus ii 编译通过。代码正确可用。-Taxi meter。VHDL。quartus ii compiled. Correct code is available.
Platform: | Size: 223232 | Author: ecnu | Hits:

[Othertaxi meter & source code

Description: This is a taxi meter design and separate source code screenshot of the source code.
Platform: | Size: 64512 | Author: blamelessjoe | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net